Home No. of Training Sessions organized by ChipIN Centre under C2S Programme

No. of Training Sessions organized by ChipIN Centre under C2S Programme - 2024

# TOPIC SESSION ORGANIZER DATE MODE OF CONDUCTION SESSION RECORDINGS
1 Interactive Session on Latest Shared PDK Related Doubts Clarification by SCL Chandigarh The session provided participants with the opportunity to ask questions related to the latest shared SCL PDK. The session focused on the enablement of the SCL 180nm PDK with EDA tools. ChipIN / SCL 18-April-2024 (Online) Session
Technical Interactive Session on SCL PDK

2 Technical Session on SCL 180nm PDK and its Integration into the Design Flow During this technical session experts from SCL team addressed C2S participants queries related to SCL PDK. The session also focused on integration of the SCL PDK with the design flow. ChipIN / SCL 03-June-2024 (Online) Session
Technical Session on SCL180nm PDK and its Integration into Design Flow

# TOPIC SESSION DETAILS PRESENTER DATE NO. OF ATTENDEES FEEDBACK
1 Interactive Technical Session on Siemens QuestaSim EDA Tool
  • Architecture of Questa
  • Design and Verification Language Support
  • Understanding GUI Features
  • Questa Modes
  • Debugging with the Schematic Window
  • Debugging with the Dataflow Window
  • Waveform Comparison
  • Code Coverage
  • Demo on Questa Prime
CoreEL / Siemens EDA 17-Jan-2024 100
2 Online session by ChipIN team on EDA Tools installation, Port mapping, License Checkout and IP Whitelisting issues - Reg. To help C2S institutes who are still encountering challenges while installing EDA tools, accessing centralized licenses, configuring environment variables, etc. ChipIN 18-Jan-2024 24
3 Interactive Technical Session on Siemens Questa EDA Tool
  • Questa Lint
  • Questa AutoCheck
CoreEL / Siemens EDA 25-Jan-2024 92
4 Interactive Technical Session on Siemens Questa CoverCheck and CDC
  • Questa CoverCheck
  • Questa CDC
CoreEL / Siemens EDA 30-Jan-2024 45
5 A Preliminary Getting Started online session by Cadence Installing the Cadence EDA tools, accessing centrally hosted EDA licenses, setting up environment variables, support related, etc. Entuple / Cadence 31-Jan-2024 92
6 A Preliminary Getting Started online session by Siemens-EDA Installing the Siemens EDA tools, accessing centrally hosted EDA licenses, setting up environment variables, support related, etc. CoreEL / Siemens EDA 1-Feb-2024 42
7 A Preliminary Getting Started online session by Synopsys Installing the Synopsys EDA tools, accessing centrally hosted EDA licenses, setting up environment variables, support related, etc. Synopsys 1-Feb-2024 61
8 IEP on ASIC Digital Design Using 180nm PDK Insights into RTL-to-GDSII using Cadence EDA Tools for Digital IC design with a focus on 180nm PDK ChipIN 12-Feb-2024
to
16-Feb-2024
83
9 Online session by ChipIN team on EDA Tools installation, Port mapping, License Checkout and IP Whitelisting issues - Reg. To help C2S institutes who are still encountering challenges while installing EDA tools, accessing centralized licenses, configuring environment variables, etc. ChipIN 22-Feb-2024 63
10 Four-day Technical Sessions on FPGA Boards and Xilinx EDA Tool by CoreEL/Xilinx FPGA 7-Series Architecture (4-hour session + 1-hour discussion/doubt clearance) CoreEL / Xilinx (AMD) 5-Mar-2024 224
11 Four-day Technical Sessions on FPGA Boards and Xilinx EDA Tool by CoreEL/Xilinx Vivado Flow (4-hour session + 1-hour discussion/doubt clearance) CoreEL / Xilinx (AMD) 6-Mar-2024 190
12 Four-day Technical Sessions on FPGA Boards and Xilinx EDA Tool by CoreEL/Xilinx Hardware Debugging (4-hour session + 1-hour discussion/doubt clearance) CoreEL / Xilinx (AMD) 11-Mar-2024 100
13 Four-day Technical Sessions on FPGA Boards and Xilinx EDA Tool by CoreEL/Xilinx Exploring Interfacing with Soft Core Processor (5.5-hour session) CoreEL / Xilinx (AMD) 12-Mar-2024 120
14 Online interactive session by CoreEl/Siemens team on the ODT Usage - Reg.
  • SupportNet Login Process
  • Overview of the SupportNet web-portal
  • Downloading Binaries - flow
  • Overview of On-Demand Trainings (ODTs) on Siemens EDA Tools
  • Registration Process for ODTs
  • Accessing ODTs
  • Service requests through SupportNet web-portal
CoreEL / Siemens EDA 22-Mar-2024 57
15 Interactive session with Synopsys regarding EDA Tool installation and License checkout Installing the Synopsys EDA tools, accessing centrally hosted EDA licenses, setting up environment variables etc. Synopsys 27-Mar-2024 61
16 Technical (Online) Sessions on Custom Analog Design Flow using Cadence Virtuoso by ChipIN
  • Schematic entry and Spectre Analysis
  • Custom Layout Design, Verification and Post-Layout Analysis
  • Virtuoso Initial Setup, Schematic Design, Pre-Layout Simulation Setup Using ADE Explorer and Assembler, Running Simulations, etc. (will be covered by Cadence Team)
  • Dummy Transistors, Shielding, Latch-Up, Guard Ring, PCell Design for MOS & Passive Devices, Layout XL Overview, Post-Layout Simulation, etc. (will be covered by Cadence Team)
ChipIN 05-Apr-2024
16-Apr-2024
19-Apr-2024
22-Apr-2024
Day 1 - 471
Day 2 - 72
Day 3 - 103
Day 4 - 136
17 Five-day Technical (Online) Sessions on RTL Design and Verification by Synopsys
  • ASIC flow overview, Significance of Synopsys tools in the entire flow, RTL design example - Synchronous and Asynchronous FIFO
  • FIFO RTL coding, FIFO Functional verification using Verilog
  • Lint and CDC, UPF implementation, Synthesis, Gate level simulation
  • Introduction to HVL based TB, Develop SV and UVM TB for Asynchronous FIFO, Develop test cases
  • Asynchronous FIFO, Develop test cases, Develop other TB components, Coverage analysis, Verdi tool debug
Synopsys 03-Apr-2024
04-Apr-2024
10-Apr-2024
24-Apr-2024
25-Apr-2024
Day 1 - 371
Day 2 - 226
Day 3 - 150
Day 4 - 107
Day 5 - 76
18 Two-Day Technical (Online) Sessions on RedHawk-SC EDA Tool by Ansys
  • Introduction to Big Data and SeaScape Platform, setting up RedHawk-SC and performing basic EM/IR Analysis and various methods for checking IR Power drops
  • Hands-on lab session on RedHawk-SC
Ansys 08-Apr-2024 &
15-Apr-2024
Day 1 - 75
Day 2 - 33
19 Interactive (Online) Session on latest shared PDK related doubts clarfication by SCL Chandigarh
  • FAQs about latest SCL PDK
ChipIN / SCL 18-Apr-2024 118
20 Online session by ChipIN team on EDA Tools installation, Port mapping, License Checkout and IP Whitelisting issues To help C2S institutes who are still encountering challenges while installing EDA tools, accessing centralized licenses, configuring environment variables, etc. ChipIN 23-Apr-2024 33
21 Two-Day Technical (Online) Sessions on Tessent EDA Tool by Siemens
  • Introduction to DFT, Types of Test and fault models Basic Scan Test Scan Insertion Flow, ATPG Flow ATPG Demo, Introduction to Compression
CoreEL / Siemens EDA 29-Apr-2024
30-Apr-2024
Day1 - 119 Day2 - 63
22 A Preliminary Getting Started Online Session by Keysight
  • Installing the Keysight EDA tools, accessing centrally hosted EDA licenses, setting up environment variables, support related, etc.
Keysight 30-Apr-2024 68
23 Fundamentals of Power-Efficient Microprocessor Design by Dr. Hofstee (IBM)
  • The Session will cover some of the sources of power- and energy-inefficiency in today's microprocessors. etc.
IBM 2-May-2024 123
24 Technical (Online) Sessions on Front End Design Flow by ChipIN Team DAY 1 :
  • RTL Coding guidelines
  • Async. FIFO Design and Simulation using Xcellium Tool
ChipIN 03-May-2024 76
DAY 2 :
  • Linting Using Candence Jasper Gold
ChipIN 06-May-2024 106
DAY 3 :
  • Clock Domain Crossing (CDC) and Reset Domain Crossing (RDC) using Cadence Jasper Gold
ChipIN 07-May-2024 83
DAY 4 :
  • X - Propagation using Cadence Jasper Gold
ChipIN 08-May-2024 76
25 Technical (Online) Sessions on SMART LAB by NIELET Calicut Available facilities in the SMART Lab, Course details, SMART Lab Demonstration (VLSI/Embedded) and Upcoming courses NIELET Calicut 07-May-2024 33
26 Two Day Interactive (Online) Sessions on Siemens Calibre EDA Tool

Introduction to Calibre EDA Tool, DRC Setup and Rule Violations check etc.

CoreEL / Siemens EDA 09-May-2024 77
CoreEL / Siemens EDA 10-May-2024 71
27

Technical (Online) Session on Keysight Cliosoft Software (Design Data Management tool)

Importance of Design Data Management (DDM), Introduction to Cliosoft's revolutionary solutions, SOS's Simple Solutions to Big Problems, Data Security, Network Storage Optimization, Design and IP Reuse, Live Demo and Case Studies etc.

Keysight 13-May-2024 31
28 Technical (Online) Session on Full-Custom and Semi Custom IC Design Flow by Entuple Team Day 1: Introduction to Full Custom IC Design Flow and Cadence Solutions, Design Specification and Circuit Analysis, Schematic Capture, Symbol Creation and Testbench preparation, Functional Simulation and Parameter Analysis, Layout Design, Parasitic Extraction, Physical Verification, Post Layout Simulation, SPICE Simulation etc Entuple / Cadence 14-May-2024
123
Technical (Online) Session on Full-Custom & Semi Custom IC Design Flow by Entuple Team Day 2: Introduction to Semi-Custom IC Design Flow and Cadence Solutions, Design specifications and RTL Coding, Testbench, creation and Functional Simulation, Formal Verification and Code Coverage Analysis, SDC Preparation, RTL Synthesis, and Reports Analysis, Synthesis with DFT, Logic Equivalence Check, Physical Implementation Floor Planning, Timing and Power Analysis, Gate Level Simulation etc. Entuple / Cadence 15-May-2024 117
29 Four-day Technical Sessions on FPGA Boards and Xilinx EDA Tool by CoreEL/Xilinx (Phase 2) Day 1:Introduction to AMD-Xilinx FPGA-FPGA Design Flow, Overview of AMD-Xilinx FPGA and SoC families and architectures Introduction to Zynq SoC Architecture etc.(4-hour session) CoreEL Technologies 16-May-2024 216
Four-day Technical Sessions on FPGA Boards and Xilinx EDA Tool by CoreEL/Xilinx (Phase 2) Day 2:Creation of AXI Based Custom IP, Software and Hardware Debugging, Debugging Using Hardware Analyzer etc. (IP-XACT) (4-hour session) CoreEL Technologies 17-May-2024 135
Four-day Technical Sessions on FPGA Boards and Xilinx EDA Tool by CoreEL/Xilinx (Phase 2) Day 3:Introduction to Zynq SoC Direct Memory Access Controller, Working with PMODs, Implement the Hardware using Vivado Design Suite, Export Hardware and Develop an application code to view output using UART protocol etc. CoreEL Technologies 20-May-2024 96
Four-day Technical Sessions on FPGA Boards and Xilinx EDA Tool by CoreEL/Xilinx (Phase 2) Day-4:Introduction to the PYNQ project, Pynq Z2 Board setup, Getting started with Jupyter Notebooks, Getting started with IPython, Exploring the board Programming on board peripherals etc. CoreEL Technologies 21-May-2024 136
30 Online session by ChipIN team on EDA Tools installation, Port mapping, License Checkout and IP Whitelisting issues - Reg. To help C2S institutes who are still encountering challenges while installing EDA tools, accessing centralized licenses, configuring environment variables, etc. ChipIN 22-May-2024 33
31 Five-Day Technical (Online) Workshop on Physical Design by Synopsys Day 1:ASIC flow and Synthesis Synopsys 27-May-2024 211
Five-Day Technical (Online) Workshop on Physical Design by Synopsys Day 2: Input files and Floorplan Synopsys 28-May-2024 171
Five-Day Technical (Online) Workshop on Physical Design by Synopsys Day 3: Placement, Power plan, CTS Synopsys 29-May-2024 125
Five-Day Technical (Online) Workshop on Physical Design by Synopsys Day 4: Routing and checks after routing Synopsys 30-May-2024 115
Five-Day Technical (Online) Workshop on Physical Design by Synopsys Day 5: STA, DFM and Output files Synopsys 31-May-2024 102
32

Technical (Online) session on SCL 180nm PDK and its integration into the design flow.

During this technical session, participants will have the opportunity to clarify their doubts and queries related to SCL PDK.

ChipIN / SCL 03-June-2024 134
33

Technical (Online) Session on accessing and using Xilinx Alveo U 55C and VCK5000 Versal FPGA Boards.

Introduction to Xilinx Platforms, Intro to Vitis for Acceleration Platforms, Vitis Tool Flow, Vitis Design Analysis, Alveo U55C High Performance Compute Card, VCK5000 Versal Development Card etc.

CoreEL / Xilinx 04-June-2024 89
34

Technical (Online) Session on Introduction to Keysight ADS and Schematic Software

Introductory session on Keysight ADS and Schematic EDA Software.

Keysight 05-June-2024 47
35

Technical (Online) Sessions on Synthesis and Post Synthesis Flow by ChipIN Team

Writing/Defining timing constraints for Async. FIFO Design, TCL scripting for Synthesis, and Genus Synthesis Tool Flow

ChipIN Team 06-June-2024 72

Technical (Online) Sessions on Synthesis and Post Synthesis Flow by ChipIN Team

Logic Equivalence Check, post synthesis simulations, zero delay simulation, unit delay simulation and sdf simulations using Xcelium Tool

ChipIN Team 07-June-2024 40
36

Technical Session on Questa simulator and Linting - Day 1

Questa Sim Introduction (Features and Flows), Questa Sim usage in GUI, Command line and in-built examples demo, Questa Sim Advanced features discussion, Advanced GUI -Coverage, Debug etc.

Siemens EDA 10-June-2024 47
37

Technical Session Questa simulator and Linting - Day 2

Introduction to Questa Design solutions and Introduction to Linting, Questa Lint, Methodologies and goals, Advanced Features, Demo and Graphical user interface, Queries etc.

Siemens EDA 11-June-2024 28
38

Ansys Technical Session on Totem EDA Tool

The session will cover Totem Platform Overview, Totem Static Analysis, Totem Dynamic Analysis, Analysis, IP Model Creation, GUI Wizard etc.

M/s Ansys 13-June-2024 26

Content owned & provided by Ministry of Electronics & Information Technology, Government of India

Copyright © 2023