Home Upcoming Sessions-2024

Upcoming Training Sessions organized by ChipIN Centre for Participating Institutions under C2S Programme

# TOPIC SESSION DETAILS PRESENTER DATE NO. OF ATTENDEES FEEDBACK
1

Technical (Online) Sessions on Physical Design Flow by ChipIN Team - Day 1

The Session Covers the Start of Physical Design: Import, Floorplan, IO fillers, and Power plan, creation of IO assignment file, MMMC file (GUI + Script) using Innovus Tool

ChipIN Team 19-June-2024
2

Technical (Online) Sessions on Physical Design Flow by ChipIN Team - Day 2

The session covers the Placement, Clock Tree Synthesis and STA: Placement, Creation of Clock tree spec file, CTS (Cluster, trial and full mode) and ECO to fix STA if any

ChipIN Team 20-June-2024
3

Online Session on Installing the Latest Shared SCL PDK by ChipIN Team

During this technical session, participants will have the opportunity to clarify their doubts and queries related to SCL PDK installation.

ChipIN Team 21-June-2024 (11:30am to 12:30pm)
4

Technical (Online) Sessions on Physical Design Flow by ChipIN Team - Day 3

The session will cover the Routing, std. cell fillers and Sign-off, ECO post route and sign-off, Post Route netlist simulations, zero delay simulation, unit delay simulation, back annotation with sdf

ChipIN Team 21-June-2024 (2:30pm to 5:30pm)
5

Technical (Online) Sessions on Analog Mixed Design Flow by Synopsys Team - Day 1

The session will cover Custom Compiler Overview, Library Manager, Schematic Editor, Symbol Creation etc.

Synopsys 24-June-2024
6

Technical (Online) Sessions on Analog Mixed Design Flow by Synopsys Team - Day 2

The session will cover PrimeWave Design Environment and Simulation etc.

Synopsys 25-June-2024
7

Technical (Online) Sessions on Analog Mixed Design Flow by Synopsys Team - Day 3

The session will cover PrimeSim Continuum

Synopsys 26-June-2024
8

Technical (Online) Sessions on Analog Mixed Design Flow by Synopsys Team - Day 4

The session will cover Layout Editor, SDL.

Synopsys 27-June-2024
9

Technical (Online) Sessions on Analog Mixed Design Flow by Synopsys Team - Day 5

The session will cover Physical Verification and Parasitic Extraction

Synopsys 28-June-2024

Content owned & provided by Ministry of Electronics & Information Technology, Government of India

Copyright © 2023